X-Git-Url: http://git.rot13.org/?p=goodfet;a=blobdiff_plain;f=firmware%2Fapps%2Fglitch%2Fglitch.c;h=e3b226ddef01d4ab5b51f9ab3aeed3e67cad2815;hp=1e7330dd49707df2876f56f94957ebdd58a3f534;hb=c9fa78dd91736cd5004d23fd9535c30be4f978ff;hpb=eddb0eb08f187dc156a6cb51878104df67d97436 diff --git a/firmware/apps/glitch/glitch.c b/firmware/apps/glitch/glitch.c index 1e7330d..e3b226d 100644 --- a/firmware/apps/glitch/glitch.c +++ b/firmware/apps/glitch/glitch.c @@ -23,20 +23,99 @@ void glitchsetup(){ P6OUT|=0x40; glitchsetupdac(); + + WDTCTL = WDTPW + WDTHOLD; // Stop WDT + TACTL = TASSEL1 + TACLR; // SMCLK, clear TAR + CCTL0 = CCIE; // CCR0 interrupt enabled + CCR0 = glitchcount; + TACTL |= MC1; // Start Timer_A in continuous mode + _EINT(); // Enable interrupts #endif } //! Setup analog chain for glitching. void glitchsetupdac(){ -#ifdef DAC12IR + glitchvoltages(glitchL,glitchH); +} + +// Timer A0 interrupt service routine +interrupt(TIMERA0_VECTOR) Timer_A (void) +{ + + switch(glitchstate){ + case 0: + P1OUT|=1; + glitchstate=1; + DAC12_0DAT = glitchH; + break; + case 1: + P1OUT|=1; + glitchstate=0; + DAC12_0DAT = glitchL; + break; + default: + P1OUT&=~1; + //Do nothing. + break; + } + CCR0 += glitchcount; // Add Offset to CCR0 +} + + + + +u16 glitchH=0xfff, glitchL=0xfff, + glitchstate=2, glitchcount=0; + +//! Glitch an application. +void glitchapp(u8 app){ + debugstr("That app is not yet supported."); +} + + +//! Set glitching voltages. +void glitchvoltages(u16 low, u16 high){ int i; + glitchH=high; + glitchL=low; + + + #ifdef DAC12IR ADC12CTL0 = REF2_5V + REFON; // Internal 2.5V ref on // Delay here for reference to settle. for(i=0;i!=0xFFFF;i++) asm("nop"); DAC12_0CTL = DAC12IR + DAC12AMP_5 + DAC12ENC; // Int ref gain 1 // 1.0V 0x0666, 2.5V 0x0FFF - DAC12_0DAT = 0x0FFF; + DAC12_0DAT = high; //DAC12_0DAT = 0x0880; - //__bis_SR_register(LPM0_bits + GIE); // Enter LPM0 -#endif + #endif +} +//! Set glitching rate. +void glitchrate(u16 rate){ + glitchcount=rate; +} + + +//! Handles a monitor command. +void glitchhandle(unsigned char app, + unsigned char verb, + unsigned long len){ + switch(verb){ + case GLITCHVOLTAGES: + glitchvoltages(cmddataword[0], + cmddataword[1]); + txdata(app,verb,0); + break; + case GLITCHRATE: + glitchrate(cmddataword[0]); + txdata(app,verb,0); + break; + case START: + case STOP: + case GLITCHAPP: + case GLITCHVERB: + default: + debugstr("Unknown glitching verb."); + txdata(app,NOK,0); + } }