ADE-1 frequency mixer
[trilby-hat-fpga] / Makefile
1 PROJ:=led
2 PROJ=i2c
3 TRELLIS?=/usr/share/trellis
4
5 all: ${PROJ}.bit
6
7 %.json: %.v
8         yosys -p "synth_ecp5 -json $@" $<
9
10 %_out.config: %.json
11         nextpnr-ecp5 --json $< --textcfg $@ --45k --package CABGA381 --lpf trilby.lpf
12
13 %.bit: %_out.config
14         ecppack --compress --svf ${PROJ}.svf $< $@
15
16 ${PROJ}.svf : ${PROJ}.bit
17
18 prog: ${PROJ}.svf
19         openocd -f openocd/trilby.cfg -c "transport select jtag; init; svf $<; exit"
20
21 clean:
22         rm -f *.svf *.bit *.config *.json
23
24 .PHONY: prog clean