added upstream URL http://www.kinetic.co.uk/Trilby.php
[trilby-hat-fpga] / Makefile
index 4da60c3..34477b7 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -1,5 +1,5 @@
 PROJ:=led
-PROJ=blinky
+PROJ=i2c
 TRELLIS?=/usr/share/trellis
 
 all: ${PROJ}.bit
@@ -11,7 +11,7 @@ all: ${PROJ}.bit
        nextpnr-ecp5 --json $< --textcfg $@ --45k --package CABGA381 --lpf trilby.lpf
 
 %.bit: %_out.config
-       ecppack --svf ${PROJ}.svf $< $@
+       ecppack --compress --svf ${PROJ}.svf $< $@
 
 ${PROJ}.svf : ${PROJ}.bit