X-Git-Url: http://git.rot13.org/?p=trilby-hat-fpga;a=blobdiff_plain;f=blinky.v;h=0646558680a7b47e4181344cd8da78d50d62798a;hp=5740e0734aecad445ea01003ca6373b1835e73df;hb=302c67c98a30def10b8b8845c6f820d9e8da130b;hpb=94f1c3ae970b2b16d238977c1e8d09cd78d28386 diff --git a/blinky.v b/blinky.v index 5740e07..0646558 100644 --- a/blinky.v +++ b/blinky.v @@ -43,9 +43,9 @@ module top(input clk, endgenerate // assign led = led_reg; - assign green_led_d7 = led_reg[0]; - assign orange_led_d8 = led_reg[1]; - assign red_led_d5 = led_reg[2]; - assign yellow_led_d6 = led_reg[3]; + assign green_led_d7 = led_reg[2]; + assign red_led_d5 = led_reg[3]; + assign yellow_led_d6 = led_reg[4]; + assign orange_led_d8 = led_reg[5]; endmodule