X-Git-Url: http://git.rot13.org/?p=trilby-hat-fpga;a=blobdiff_plain;f=trilby.lpf;h=6c14b21381fc0db0257e623b7be618889ef942e6;hp=edbb24fa03c2a85f2820cbb08e76a8f75e8c8c1b;hb=f4e9affa08efc13dc8cf2fe3a67a8ca7400903e0;hpb=c73ee8215134d6c4eaab670993c0f9e4155d98b3 diff --git a/trilby.lpf b/trilby.lpf index edbb24f..6c14b21 100644 --- a/trilby.lpf +++ b/trilby.lpf @@ -10,21 +10,36 @@ LOCATE COMP "AGC_A" SITE "E8"; # out LOCATE COMP "clk" SITE "U16"; # CLK 24Mhz TCXO -IOBUF PORT "clk" PULLMODE=NONE IO_TYPE=LVCMOS25; # ? 33? +IOBUF PORT "clk" PULLMODE=NONE IO_TYPE=LVCMOS33; # ? 33? FREQUENCY PORT "clk" 24 MHZ; -LOCATE COMP "a2dq10" SITE "C17"; # in -LOCATE COMP "a2dq11" SITE "A18"; -LOCATE COMP "a2dq12" SITE "A19"; -LOCATE COMP "a2dq13" SITE "B19"; -LOCATE COMP "a2dq2" SITE "B12"; -LOCATE COMP "a2dq3" SITE "D15"; -LOCATE COMP "a2dq4" SITE "C15"; -LOCATE COMP "a2dq5" SITE "B15"; -LOCATE COMP "a2dq6" SITE "E15"; -LOCATE COMP "a2dq7" SITE "C16"; -LOCATE COMP "a2dq8" SITE "D16"; -LOCATE COMP "a2dq9" SITE "B17"; + +# LTC2226CUH - in +# 12bit, 25Msps, 3V ADC +# clk - 24mhz tcxo +# +# schematics implies that ADC has 14 bits, it has only 12 +# my guess it that previous version had 14 bit ADC, so +# a2dq0 and a2dq1 are not used in original vhdl + +LOCATE COMP "a2dq0" SITE "D12"; # -- not used in hdl (12-bit ADC), from schematic +LOCATE COMP "a2dq1" SITE "C12"; # -- not used +LOCATE COMP "a2dq2" SITE "B12"; # D0 +LOCATE COMP "a2dq3" SITE "D15"; # D1 +LOCATE COMP "a2dq4" SITE "C15"; # D2 +LOCATE COMP "a2dq5" SITE "B15"; # D3 +LOCATE COMP "a2dq6" SITE "E15"; # D4 +LOCATE COMP "a2dq7" SITE "C16"; # D5 +LOCATE COMP "a2dq8" SITE "D16"; # D6 +LOCATE COMP "a2dq9" SITE "B17"; # D7 +LOCATE COMP "a2dq10" SITE "C17"; # D8 +LOCATE COMP "a2dq11" SITE "A18"; # D9 +LOCATE COMP "a2dq12" SITE "A19"; # D10 +LOCATE COMP "a2dq13" SITE "B19"; # D11 MSB + +LOCATE COMP "a2d_of" SITE "B20"; # over/under flow + + LOCATE COMP "audio_l" SITE "H20"; # out LOCATE COMP "audio_r" SITE "K18"; # out @@ -54,18 +69,21 @@ LOCATE COMP "exp_pin_21" SITE "D14"; LOCATE COMP "res_in" SITE "E14"; # in # pins 23,24 are GND + +# order like on PCB, D7, D5, D6, D8 LOCATE COMP "green_led_d7" SITE "G16"; -LOCATE COMP "orange_led_d8" SITE "H16"; LOCATE COMP "red_led_d5" SITE "E18"; LOCATE COMP "yellow_led_d6" SITE "F18"; +LOCATE COMP "orange_led_d8" SITE "H16"; + +IOBUF PORT "green_led_d7" IO_TYPE=LVCMOS33; +IOBUF PORT "orange_led_d8" IO_TYPE=LVCMOS33; +IOBUF PORT "red_led_d5" IO_TYPE=LVCMOS33; +IOBUF PORT "yellow_led_d6" IO_TYPE=LVCMOS33; -IOVUF PORT "green_led_d7" IO_TYPE=LVCMOS25; -IOVUF PORT "orange_led_d8" IO_TYPE=LVCMOS25; -IOVUF PORT "red_led_d5" IO_TYPE=LVCMOS25; -IOVUF PORT "yellow_led_d6" IO_TYPE=LVCMOS25; LOCATE COMP "mhz_16" SITE "L20"; # out -LOCATE COMP "mhz_96" SITE "L17"; # out +LOCATE COMP "mhz_96" SITE "L17"; # out - ADE-1 frequency mixer LO # reaspberry pi header @@ -110,16 +128,42 @@ LOCATE COMP "rpi_pin_38" SITE "C2"; # pin 38: gpio20 # pin 39: GND LOCATE COMP "rpi_pin_40" SITE "B2"; # pin 40: gpio21 - -LOCATE COMP "tuner_hf" SITE "D8"; +IOBUF PORT "rtc_sda" IO_TYPE=LVCMOS33; +IOBUF PORT "rtc_scl" IO_TYPE=LVCMOS33; +IOBUF PORT "rtc_mfp" IO_TYPE=LVCMOS33; +IOBUF PORT "uart_rx" IO_TYPE=LVCMOS33; +IOBUF PORT "uart_tx" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_11" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_12" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_mosi" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_miso" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_22" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_sclk" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_cs0" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_cs1" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_29" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_31" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_32" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_33" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_35" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_36" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_37" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_38" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_40" IO_TYPE=LVCMOS33; + + +LOCATE COMP "tuner_hf" SITE "D8"; # ADG918 switch lf/hf LOCATE COMP "tuner_scl" SITE "C6"; LOCATE COMP "tuner_sda" SITE "C7"; +IOBUF PORT "tuner_hf" IO_TYPE=LVCMOS33; +IOBUF PORT "tuner_scl" IO_TYPE=LVCMOS33; +IOBUF PORT "tuner_sda" IO_TYPE=LVCMOS33; LOCATE COMP "unused" SITE "B11"; #PLL -LOCATE COMP "I518/pll_24_to_96_inst/PLLInst_0" SITE "PLL_BR0" ; +#LOCATE COMP "I518/pll_24_to_96_inst/PLLInst_0" SITE "PLL_BR0" ; #FREQUENCY NET "N_355" 16.000000 MHz ; #FREQUENCY NET "clkfast" 96.000000 MHz ;