remove pll from original project
[trilby-hat-fpga] / blinky.v
2021-12-28 Dobrica Pavlinusicreorder leds like on board
2021-12-28 Dobrica Pavlinusicblinky example