From 6481d028f829c99117bea6831e85c71c939ce0bf Mon Sep 17 00:00:00 2001 From: Dobrica Pavlinusic Date: Tue, 28 Dec 2021 20:54:43 +0100 Subject: [PATCH] convert to LVCMOS33 as it should be for 3v3 Original project seems to be using LVCMOS25 and I really don't know why, since VCC is 3v3 --- trilby.lpf | 36 +++++++++++++++++++++++++++++++----- 1 file changed, 31 insertions(+), 5 deletions(-) diff --git a/trilby.lpf b/trilby.lpf index edbb24f..8ac95b4 100644 --- a/trilby.lpf +++ b/trilby.lpf @@ -10,7 +10,7 @@ LOCATE COMP "AGC_A" SITE "E8"; # out LOCATE COMP "clk" SITE "U16"; # CLK 24Mhz TCXO -IOBUF PORT "clk" PULLMODE=NONE IO_TYPE=LVCMOS25; # ? 33? +IOBUF PORT "clk" PULLMODE=NONE IO_TYPE=LVCMOS33; # ? 33? FREQUENCY PORT "clk" 24 MHZ; LOCATE COMP "a2dq10" SITE "C17"; # in @@ -59,10 +59,10 @@ LOCATE COMP "orange_led_d8" SITE "H16"; LOCATE COMP "red_led_d5" SITE "E18"; LOCATE COMP "yellow_led_d6" SITE "F18"; -IOVUF PORT "green_led_d7" IO_TYPE=LVCMOS25; -IOVUF PORT "orange_led_d8" IO_TYPE=LVCMOS25; -IOVUF PORT "red_led_d5" IO_TYPE=LVCMOS25; -IOVUF PORT "yellow_led_d6" IO_TYPE=LVCMOS25; +IOBUF PORT "green_led_d7" IO_TYPE=LVCMOS33; +IOBUF PORT "orange_led_d8" IO_TYPE=LVCMOS33; +IOBUF PORT "red_led_d5" IO_TYPE=LVCMOS33; +IOBUF PORT "yellow_led_d6" IO_TYPE=LVCMOS33; LOCATE COMP "mhz_16" SITE "L20"; # out LOCATE COMP "mhz_96" SITE "L17"; # out @@ -110,11 +110,37 @@ LOCATE COMP "rpi_pin_38" SITE "C2"; # pin 38: gpio20 # pin 39: GND LOCATE COMP "rpi_pin_40" SITE "B2"; # pin 40: gpio21 +IOBUF PORT "rtc_sda" IO_TYPE=LVCMOS33; +IOBUF PORT "rtc_scl" IO_TYPE=LVCMOS33; +IOBUF PORT "rtc_mfp" IO_TYPE=LVCMOS33; +IOBUF PORT "uart_rx" IO_TYPE=LVCMOS33; +IOBUF PORT "uart_tx" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_11" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_12" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_mosi" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_miso" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_22" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_sclk" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_cs0" IO_TYPE=LVCMOS33; +IOBUF PORT "spi_cs1" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_29" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_31" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_32" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_33" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_35" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_36" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_37" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_38" IO_TYPE=LVCMOS33; +IOBUF PORT "rpi_pin_40" IO_TYPE=LVCMOS33; + LOCATE COMP "tuner_hf" SITE "D8"; LOCATE COMP "tuner_scl" SITE "C6"; LOCATE COMP "tuner_sda" SITE "C7"; +IOBUF PORT "tuner_hf" IO_TYPE=LVCMOS33; +IOBUF PORT "tuner_scl" IO_TYPE=LVCMOS33; +IOBUF PORT "tuner_sda" IO_TYPE=LVCMOS33; LOCATE COMP "unused" SITE "B11"; -- 2.20.1