we need read_verilog instead of read