3 TRELLIS?=/usr/share/trellis
8 yosys -p "synth_ecp5 -json $@" $<
11 nextpnr-ecp5 --json $< --textcfg $@ --45k --package CABGA381 --lpf trilby.lpf
14 ecppack --svf ${PROJ}.svf $< $@
16 ${PROJ}.svf : ${PROJ}.bit
19 openocd -f openocd/trilby.cfg -c "transport select jtag; init; svf $<; exit"
22 rm -f *.svf *.bit *.config *.json