relaxed timings, 60 s timeout for old data
[Arduino] / upstream /
2013-07-20 Dobrica Pavlinusicn Arduino port of the tinkerer.eu/AVRLib/nRF24L01 library.