specify bsdl file and read boundary length from it
[x300-pci] / openocd-jtag-boundary-scan.pl
1 #!/usr/bin/perl
2
3 use warnings;
4 use strict;
5 use autodie;
6 use Data::Dump qw(dump);
7 use IO::Socket::INET;
8 use Storable;
9
10 my $openocd_remote = shift @ARGV || 'picam:4444';
11 my $bsdl_file = $ENV{BSDL} || '_3128at100.bsd';
12 my $BOUNDARY_LENGTH = 288;
13
14 my $bit2pin;
15 my $io2bit;
16
17 my $pin2io;
18 my $in_pin_map = 0;
19 my $pin_map = '';
20
21 $|=1; # flush stdout
22
23 open(my $bsdl, '<', $bsdl_file);
24 while(<$bsdl>) {
25         if ( m/PIN_MAP_STRING/ ) {
26                 $pin_map .= $_;
27                 $in_pin_map = 1;
28         } elsif ( $in_pin_map ) {
29                 next if m/^\s*--/;
30                 $pin_map .= $_;
31                 $in_pin_map = 0 if m/;/;
32         } elsif ( m/"(\d+)\s+\(BC_\d+,\s+(\S+),\s+(\S+)/ ) {
33                 $bit2pin->{$1} = [ $2, $3 ];
34                 push @{ $io2bit->{$2} }, $1;
35         } elsif ( m/attribute\s+BOUNDARY_LENGTH\s+of\s+\S+\s*:\s*entity\s+is\s+(\d+);/ ) {
36                 $BOUNDARY_LENGTH = $1;
37                 warn "# BSDL $bsdl_file BOUNDARY_LENGTH = $BOUNDARY_LENGTH\n";
38         }
39
40 }
41 close($bsdl);
42
43 warn "# bit2pin = ",dump($bit2pin);
44 warn "# io2bit = ",dump($io2bit);
45
46 $pin_map =~ s/"\s*\&\s*"/ /gs;
47 $pin_map =~ s/^.*?:=\s*"//;
48 $pin_map =~ s/"\s*;\s*$//s;
49
50 sub str_comma {
51         my $t = shift;
52         $t =~ s/\s*,\s*/ /gs;
53         return $t;
54 }
55 warn "# pin_map = ",dump($pin_map);
56 $pin_map =~ s/\(\s*([^\)]+?)\s*\)/str_comma($1)/gse;
57 warn "# pin_map = ",dump($pin_map);
58
59 foreach my $map ( split(/\s*,\s*/, $pin_map) ) {
60         warn "## $map\n";
61         my ( $name, $pin ) = split(/\s*:\s*/, $map);
62         $pin2io->[$_] = $name foreach split(/ /,$pin);  
63 }
64
65 warn "# pin2io = ",dump( $pin2io );
66 store \$pin2io, '/dev/shm/pin2io.storable';
67
68 my @sort = sort {
69         my $aa = $a; $aa =~ s/\D+//g;
70         my $bb = $b; $bb =~ s/\D+//g;
71         $aa <=> $bb } keys %$io2bit;
72 warn "# sort = ",dump(@sort);
73
74 my $last_bits = '';
75 sub print_io {
76         my $bits = shift;
77         my $o_bits = $bits;
78         $bits = reverse $bits; # make substr work as expected
79
80         print "pin off io___ ICO prv | " x 4, $openocd_remote,"\n";
81         foreach my $i ( 0 .. ($#$pin2io/4)-1 ) {
82                 foreach my $j ( 0 .. 3 ) {
83                         #my $pin = ($i*4) + $j + 1; # rows
84                         my $pin = ($#$pin2io/4) * $j + $i + 1; # columns
85                         my $io = $pin2io->[$pin];
86                         my $o = $io2bit->{$io}->[0];
87                         if ( defined $o ) {
88                                 my $l = $b = substr($bits, $o, 3);
89                                 $l = substr($last_bits,$o,3) if $last_bits;
90                                 printf "%-3d %-3d %-5s %3s %3s | ", $pin, $o, $io, $b, $b ne $l ? $l : ''
91                         } else {
92                                 printf "%-3d ... %-5s %3s %3s | ", $pin, $io, '', '';
93                         }
94                 }
95                 print "\n";
96         }
97         print "\n";
98         $last_bits = $o_bits;
99 }
100
101 #foreach my $h (qw(0 1 2 e f 10 11 12 1e 1f 20 30 40 50 100 200 1000)) {
102 #        printf "hex = %4s  binary = %16s\n", $h, hex2bin($h);
103 #}
104
105 my $sock = IO::Socket::INET->new($openocd_remote);
106
107 sub hex2bin {
108         my $h = shift;
109         my $hlen = length($h);
110         my $blen = $hlen * 4;
111         return unpack("B$blen", pack("H$hlen", $h));
112 }
113
114 sub bin2hex {
115         my $b = shift;
116         my $blen = length($b);
117         my $hlen = $blen / 4;
118         return unpack("H$hlen", pack("B$blen", $b));
119 }
120
121 #my $cmd;
122
123 my $BSR;
124
125 # first sample
126 print $sock "irscan x300.tap 0x55\n"; # SAMPLE/PRELOAD
127 print $sock "drscan x300.tap $BOUNDARY_LENGTH 0\n";
128
129 while(1) {
130
131 while (<$sock>) {
132         warn "<< ",dump($_);
133         chomp;
134         s/[\r\x00]+//g;
135         if ( /^\s*([A-F0-9]+)/ ) {
136                 my $hex = $1;
137                 my $bin = hex2bin($hex);
138                 diff_bits($BSR, $bin);
139                 $BSR = $bin;
140                 print_io $bin if $bin ne $last_bits;
141                 last;
142         } else {
143                 warn "# in ",dump($_);
144         }
145 }
146
147 sub diff_bits {
148         my ($old, $new) = @_;
149         $old =~ s/(...)/$1 /g;
150         $new =~ s/(...)/$1 /g;
151         my @o = split(/ /, $old);
152         my @n = split(/ /, $new);
153         foreach my $i ( 0 .. $#o ) {
154                 if ( $o[$i] eq $n[$i] ) {
155                         $o[$i]='.';
156                 } else {
157                         my $pin = $bit2pin->{ $BOUNDARY_LENGTH - ($i * 3) - 3 }->[0];
158                         $o[$i] = " $pin:" . $o[$i] . '>' . $n[$i];
159                 }
160         }
161         my $diff = join('', @o);
162         print "# diff_bits $diff\n";
163 }
164
165 print "[press enter]\n";
166 my $cmd = <STDIN>;
167 chomp $cmd;
168 if ( $cmd =~ /(p|o)(\d+)=(\d+)/ ) {
169         my ( $what, $p, $v ) = ( $1, $2, $3 );
170
171         my $old_bsr = reverse $BSR;
172         my $b = $old_bsr;
173
174         if ( $what eq 'p' && length($v) == 1 ) {
175
176                 my $pin = $p;
177                 warn "# pin $pin = $v\n";
178
179                 my $io = $pin2io->[$pin];
180                 my $bit = $io2bit->{$io}->[0];
181
182                 $b =
183                           substr($old_bsr,0,$bit+1) # leave input as-is
184                         . ( $v eq 'Z' ? 1 : 0 )     # control
185                         . ( $v & 1 )                # output
186                         . substr($old_bsr,$bit+3)
187                         ;
188
189
190         } elsif ( $what eq 'o' && $p < $BOUNDARY_LENGTH ) {
191                 my $o = $p;
192                 #$b = substr($old_bsr,0,$o) . reverse $v . substr($old_bsr,$o+length($v));
193                 $b = ( "0" x $o ) . reverse $v . ( "0" x ( length($old_bsr) - $o - length($v) ) );
194 warn "XXX",length($old_bsr), " == ",length($b);
195                 $old_bsr = "0" x length($old_bsr);
196         } else {
197                 print "IGNORED ",dump( $what, $p, $v );
198         }
199
200         $b = reverse $b;
201
202         diff_bits( $BSR, $b ), $/;
203
204 #       print $sock "irscan x300.tap 0x55\n"; # SAMPLE/PRELOAD
205         print $sock "drscan x300.tap $BOUNDARY_LENGTH 0x", bin2hex($b), "\n";
206         print $sock "irscan x300.tap 0x00\n"; # EXTEST
207 #       print $sock "drscan x300.tap $BOUNDARY_LENGTH 0x", bin2hex($b), "\n";
208
209 } elsif ( $cmd =~ m/\?/ ) {
210         $last_bits = '';
211         print $sock "irscan x300.tap 0x55\n"; # SAMPLE/PRELOAD
212         print $sock "drscan x300.tap $BOUNDARY_LENGTH 0\n";
213 } else {
214         print $sock "irscan x300.tap 0x55\n"; # SAMPLE/PRELOAD
215         print $sock "drscan x300.tap $BOUNDARY_LENGTH 0\n";
216 }
217
218
219 }#while(1)