pin mapping for dac.vhd
[x300-pci] / vhdl / 3064at44.qsf
1 # -------------------------------------------------------------------------- #
2 #
3 # Copyright (C) 1991-2011 Altera Corporation
4 # Your use of Altera Corporation's design tools, logic functions 
5 # and other software and tools, and its AMPP partner logic 
6 # functions, and any output files from any of the foregoing 
7 # (including device programming or simulation files), and any 
8 # associated documentation or information are expressly subject 
9 # to the terms and conditions of the Altera Program License 
10 # Subscription Agreement, Altera MegaCore Function License 
11 # Agreement, or other applicable license agreement, including, 
12 # without limitation, that your use is for the sole purpose of 
13 # programming logic devices manufactured by Altera and sold by 
14 # Altera or its authorized distributors.  Please refer to the 
15 # applicable agreement for further details.
16 #
17 # -------------------------------------------------------------------------- #
18 #
19 # Quartus II
20 # Version 11.0 Build 208 07/03/2011 Service Pack 1 SJ Web Edition
21 # Date created = 16:00:50  June 12, 2016
22 #
23 # -------------------------------------------------------------------------- #
24 #
25 # Notes:
26 #
27 # 1) The default values for assignments are stored in the file:
28 #               3064at44_assignment_defaults.qdf
29 #    If this file doesn't exist, see file:
30 #               assignment_defaults.qdf
31 #
32 # 2) Altera recommends that you do not modify this file. This
33 #    file is updated automatically by the Quartus II software
34 #    and any changes you make may be lost or overwritten.
35 #
36 # -------------------------------------------------------------------------- #
37
38
39 set_global_assignment -name FAMILY MAX3000A
40 set_global_assignment -name DEVICE "EPM3064ATC44-10"
41 set_global_assignment -name TOP_LEVEL_ENTITY dac
42 set_global_assignment -name ORIGINAL_QUARTUS_VERSION "11.0 SP1"
43 set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:00:50  JUNE 12, 2016"
44 set_global_assignment -name LAST_QUARTUS_VERSION "11.0 SP1"
45 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1"
46 set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF
47 set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
48 set_global_assignment -name MAX7000_DEVICE_IO_STANDARD "3.3-V LVTTL"
49 set_global_assignment -name USE_CONFIGURATION_DEVICE ON
50 set_global_assignment -name GENERATE_SVF_FILE ON
51 set_global_assignment -name GENERATE_ISC_FILE OFF
52 set_global_assignment -name GENERATE_JAM_FILE OFF
53 set_global_assignment -name GENERATE_JBC_FILE OFF
54 set_location_assignment PIN_23 -to dac_a[4]
55 set_location_assignment PIN_22 -to dac_a[3]
56 set_location_assignment PIN_21 -to dac_a[2]
57 set_location_assignment PIN_20 -to dac_a[1]
58 set_location_assignment PIN_19 -to dac_a[0]
59 set_location_assignment PIN_25 -to dac_b[4]
60 set_location_assignment PIN_27 -to dac_b[3]
61 set_location_assignment PIN_28 -to dac_b[2]
62 set_location_assignment PIN_31 -to dac_b[1]
63 set_location_assignment PIN_33 -to dac_b[0]
64 set_location_assignment PIN_10 -to clk
65 set_location_assignment PIN_14 -to test_port[7]
66 set_location_assignment PIN_15 -to test_port[6]
67 set_location_assignment PIN_18 -to test_port[5]
68 set_location_assignment PIN_34 -to test_port[4]
69 set_location_assignment PIN_35 -to test_port[3]
70 set_location_assignment PIN_42 -to test_port[2]
71 set_location_assignment PIN_43 -to test_port[1]
72 set_location_assignment PIN_44 -to test_port[0]
73 set_location_assignment PIN_2 -to test_port[14]
74 set_location_assignment PIN_3 -to test_port[13]
75 set_location_assignment PIN_5 -to test_port[12]
76 set_location_assignment PIN_6 -to test_port[11]
77 set_location_assignment PIN_8 -to test_port[10]
78 set_location_assignment PIN_12 -to test_port[9]
79 set_location_assignment PIN_13 -to test_port[8]
80 set_global_assignment -name VHDL_FILE gz_16o8i.vhd
81 set_global_assignment -name VHDL_FILE dac.vhd